Embedded Software for SoC

The evolution of electronic systems is pushing traditional silicon designers into areas that require new domains of expertise. In addition to the design of complex hardware, System-on-Chip (SoC) design requires software devel- ment, operating systems and new system architectures. Future SoC designs...

Πλήρης περιγραφή

Λεπτομέρειες βιβλιογραφικής εγγραφής
Συγγραφή απο Οργανισμό/Αρχή: SpringerLink (Online service)
Άλλοι συγγραφείς: Jerraya, Ahmed Amine (Επιμελητής έκδοσης), Yoo, Sungjoo (Επιμελητής έκδοσης), Verkest, Diederik (Επιμελητής έκδοσης), Wehn, Norbert (Επιμελητής έκδοσης)
Μορφή: Ηλεκτρονική πηγή Ηλ. βιβλίο
Γλώσσα:English
Έκδοση: Boston, MA : Springer US, 2003.
Θέματα:
Διαθέσιμο Online:Full Text via HEAL-Link
Πίνακας περιεχομένων:
  • Embedded Operating Systems for SoC
  • Application Mapping to a Hardware Platform Through Automated Code Generation Targeting a RTOS
  • Formal Methods for Integration of Automotive Software
  • Lightweight Implementation of the POSIX Threads API for an On-Chip MIPS Multiprocessor with VCI Interconnect
  • Detecting Soft Errors by a Purely Software Approach: Method, Tools and Experimental Results
  • Operating System Abstraction and Targeting
  • RTOS Modeling for System Level Design
  • Modeling and Integration of Peripheral Devices in Embedded Systems
  • Systematic Embedded Software Generation from Systemc
  • Embedded Software Design and Implementation
  • Exploring SW Performance Using SoC Transaction-Level Modeling
  • A Flexible Object-Oriented Software Architecture for Smart Wireless Communication Devices
  • Scheduling and Timing Analysis of HW/SW On-Chip Communication in MP SoC Design
  • Evaluation of Applying SpecC to the Integrated Design Method of Device Driver and Device
  • Interactive Ray Tracing on Reconfigurable SIMD Morphosys
  • Porting a Network Cryptographic Service to the RMC2000
  • Embedded Operating Systems for SoC
  • to Hardware Abstraction Layers for SoC
  • Hardware/Software Partitioning of Operating Systems
  • Embedded SW in Digital AM-FM Chipset
  • Software Optimisation for Embedded Systems
  • Control Flow Driven Splitting of Loop Nests at the Source Code Level
  • Data Space Oriented Scheduling
  • Compiler-Directed ILP Extraction for Clustered VLIW/EPIC Machines
  • State Space Compression in History Driven Quasi-Static Scheduling
  • Simulation Trace Verification for Quantitative Constraints
  • Energy Aware Software Techniques
  • Efficient Power/Performance Analysis of Embedded and General Purpose Software Applications
  • Dynamic Parallelization of Array Based On-Chip Multiprocessor Applications
  • SDRAM-Energy-Aware Memory Allocation for Dynamic Multi-Media Applications on Multi-Processor Platforms
  • Safe Automotive Software Development
  • Safe Automotive Software Development
  • Embedded System Architecture
  • Exploring High Bandwidth Pipelined Cache Architecture for Scaled Technology
  • Enhancing Speedup in Network Processing Applications by Exploiting Instruction Reuse with Flow Aggregation
  • On-Chip Stochastic Communication
  • Hardware/Software Techniques for Improving Cache Performance in Embedded Systems
  • Rapid Configuration & Instruction Selection for an ASIP: A Case Study
  • Transformations for Real-Time Software
  • Generalized Data Transformations
  • Software Streaming via Block Streaming
  • Adaptive Checkpointing with Dynamic Voltage Scaling in Embedded Real-Time Systems
  • Low Power Software
  • Software Architectural Transformations
  • Dynamic Functional Unit Assignment for Low Power
  • Energy-Aware Parameter Passing
  • Low Energy Associative Data Caches for Embedded Systems.