Metric- Driven Design Verification An Engineer's and Executive's Guide to First Pass Success /

Exponentially increasing design complexity has necessitated the adoption of metric driven planning and project management. Metric Driven Design Verification provides the semiconductor industry’s first metric driven based approach to functional verification. A metric based flow is described that focu...

Πλήρης περιγραφή

Λεπτομέρειες βιβλιογραφικής εγγραφής
Κύριοι συγγραφείς: Carter, Hamilton B. (Συγγραφέας), Hemmady, Shankar (Συγγραφέας)
Συγγραφή απο Οργανισμό/Αρχή: SpringerLink (Online service)
Μορφή: Ηλεκτρονική πηγή Ηλ. βιβλίο
Γλώσσα:English
Έκδοση: Boston, MA : Springer US, 2007.
Θέματα:
Διαθέσιμο Online:Full Text via HEAL-Link
LEADER 04912nam a22004815i 4500
001 978-0-387-38152-7
003 DE-He213
005 20151204174709.0
007 cr nn 008mamaa
008 100301s2007 xxu| s |||| 0|eng d
020 |a 9780387381527  |9 978-0-387-38152-7 
024 7 |a 10.1007/978-0-387-38152-7  |2 doi 
040 |d GrThAP 
050 4 |a TK7888.4 
072 7 |a TJFC  |2 bicssc 
072 7 |a TEC008010  |2 bisacsh 
082 0 4 |a 621.3815  |2 23 
100 1 |a Carter, Hamilton B.  |e author. 
245 1 0 |a Metric- Driven Design Verification  |h [electronic resource] :  |b An Engineer's and Executive's Guide to First Pass Success /  |c by Hamilton B. Carter, Shankar Hemmady. 
264 1 |a Boston, MA :  |b Springer US,  |c 2007. 
300 |a XXVII, 361 p. 178 illus.  |b online resource. 
336 |a text  |b txt  |2 rdacontent 
337 |a computer  |b c  |2 rdamedia 
338 |a online resource  |b cr  |2 rdacarrier 
347 |a text file  |b PDF  |2 rda 
505 0 |a Analyzing And Driving Verification: An Executive's Guide -- The Verification Crisis -- Automated Metric-Driven Processes -- Roles in a Verification Project -- Overview of a Verification Project -- Verification Technologies -- Managing The Verification Process -- Verification Planning -- Capturing Metrics -- Regression Management -- Revision Control and Change Integration -- Debug -- Executing The Verification Process -- Coverage Metrics -- Modeling and Architectural Verification -- Assertion-Based Verification -- Dynamic Simulation-Based Verification -- System Verification -- Mixed Analog and Digital Verification -- Design for Test -- Case Studies And Commentaries -- Metric-Driven Design Verification: Why Is My Customer a Better Verification Engineer Than Me? -- Metric-Driven Methodology Speeds the Verification of a Complex Network Processor -- Developing a Coverage-Driven SoC Methodology -- From Panic-Driven to Plan-Driven Verification Managing the Transition -- Verification of a Next-Generation Single-Chip Analog TV and Digital TV ASIC -- Management IP: New Frontier Providing Value Enterprise-Wide -- Adelante VD3204x Core, SubSystem, and SoC Verification -- SystemC-based Virtual SoC: An Integrated System-Level and Block-Level Verification Approach from Simulation to Coemulation -- Is Your System-Level Project Benefiting from Collaboration or Headed to Chaos?. 
520 |a Exponentially increasing design complexity has necessitated the adoption of metric driven planning and project management. Metric Driven Design Verification provides the semiconductor industry’s first metric driven based approach to functional verification. A metric based flow is described that focuses on the four steps of: 1. Planning: Defining what needs to be done and the automatically trackable metrics that will be used to measure progress. 2. Execution: Implementing verification environments and then extensively exercising the device under verification utilizing comprehensive, massively parallel regression strategies. 3. Measurement: Automatically capturing the metrics defined in planning to provide objective data with which to manage the verification project. Custom tailoring those metrics through an automated reporting framework to provide all stakeholders a real-time meaningful view of project status. 4. Response: Utilizing the returned metrics to effectively adapt to changing project conditions. Making use of automated response mechanisms to automate engineering processed and management response to streamline project management processes. The primary audience for this book is professional engineers, managers, and executives. It is written in an easily understandable style and consists of four parts. The first three parts are tailored for executives, engineering managers, and engineers respectively. The fourth part presents case studies and commentaries from industry luminaries and experts on metric driven verification. Metric Driven Design Verification brings together the best practices and real-life experiences of several leading electronic companies worldwide in planning and managing verification projects, while automating critical processes. It addresses all aspects of verification and summarizes the different options available to engineers, managers and executives. 
650 0 |a Engineering. 
650 0 |a Electrical engineering. 
650 0 |a Electronics. 
650 0 |a Microelectronics. 
650 0 |a Electronic circuits. 
650 1 4 |a Engineering. 
650 2 4 |a Circuits and Systems. 
650 2 4 |a Electrical Engineering. 
650 2 4 |a Electronics and Microelectronics, Instrumentation. 
700 1 |a Hemmady, Shankar.  |e author. 
710 2 |a SpringerLink (Online service) 
773 0 |t Springer eBooks 
776 0 8 |i Printed edition:  |z 9780387381510 
856 4 0 |u http://dx.doi.org/10.1007/978-0-387-38152-7  |z Full Text via HEAL-Link 
912 |a ZDB-2-ENG 
950 |a Engineering (Springer-11647)