Modern Circuit Placement Best Practices and Results /

Modern Circuit Placement: Best Practices and Results describes advanced techniques in VLSI circuit placement which is one of the most important steps of the VLSI physical design flow. Physical design addresses the back-end layout stage of the chip design process. As technology scales down, the signi...

Πλήρης περιγραφή

Λεπτομέρειες βιβλιογραφικής εγγραφής
Συγγραφή απο Οργανισμό/Αρχή: SpringerLink (Online service)
Άλλοι συγγραφείς: Nam, Gi-Joon (Επιμελητής έκδοσης), Cong, Jason (Επιμελητής έκδοσης)
Μορφή: Ηλεκτρονική πηγή Ηλ. βιβλίο
Γλώσσα:English
Έκδοση: Boston, MA : Springer US, 2007.
Σειρά:Series on Integrated Circuits and Systems,
Θέματα:
Διαθέσιμο Online:Full Text via HEAL-Link
LEADER 04347nam a22004695i 4500
001 978-0-387-68739-1
003 DE-He213
005 20151204170455.0
007 cr nn 008mamaa
008 100301s2007 xxu| s |||| 0|eng d
020 |a 9780387687391  |9 978-0-387-68739-1 
024 7 |a 10.1007/978-0-387-68739-1  |2 doi 
040 |d GrThAP 
050 4 |a TK7888.4 
072 7 |a TJFC  |2 bicssc 
072 7 |a TEC008010  |2 bisacsh 
082 0 4 |a 621.3815  |2 23 
245 1 0 |a Modern Circuit Placement  |h [electronic resource] :  |b Best Practices and Results /  |c edited by Gi-Joon Nam, Jason Cong. 
264 1 |a Boston, MA :  |b Springer US,  |c 2007. 
300 |a XX, 324 p.  |b online resource. 
336 |a text  |b txt  |2 rdacontent 
337 |a computer  |b c  |2 rdamedia 
338 |a online resource  |b cr  |2 rdacarrier 
347 |a text file  |b PDF  |2 rda 
490 1 |a Series on Integrated Circuits and Systems,  |x 1558-9412 
505 0 |a Benchmarks -- ISPD 2005/2006 Placement Benchmarks -- Locality and Utilization in Placement Suboptimality -- Flat Placement Techniques -- DPlace: Anchor Cell-Based Quadratic Placement with Linear Objective -- Kraftwerk: A Fast and Robust Quadratic Placer Using an Exact Linear Net Model -- Top-Down Partitioning-Based Techniques -- Capo: Congestion-Driven Placement for Standard-cell and RTL Netlists with Incremental Capability -- Congestion Minimization in Modern Placement Circuits -- Multilevel Placement Techniques -- APlace: A High Quality, Large-Scale Analytical Placer -- FastPlace: An Efficient Multilevel Force-Directed Placement Algorithm -- mFAR: Multilevel Fixed-Points Addition-Based VLSI Placement -- mPL6: Enhanced Multilevel Mixed-Size Placement with Congestion Control -- NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs -- Conclusion and Challenges. 
520 |a Modern Circuit Placement: Best Practices and Results describes advanced techniques in VLSI circuit placement which is one of the most important steps of the VLSI physical design flow. Physical design addresses the back-end layout stage of the chip design process. As technology scales down, the significance of interconnect optimization becomes much more important and physical design, particularly the placement process, is essential to interconnect optimization. This book has four unique characteristics. First, it focuses on the most recent highly scalable placement techniques used for multi-million gate circuit designs, with consideration of many practical aspects of modern circuit placement, such as density and routability control, mixed-size placement support, and area I/O support. Second the book addresses dominant techniques being used in the field. This book includes all the academic placement tools that competed at the International Symposium on Physical Design (ISPD) placement contest in 2005 and 2006. Although these tools are developed by academia, many core techniques in these tools are being used extensively in industry and represent today’s advanced placement techniques. Third, the book provides quantitative comparison among the various techniques on common benchmark circuits derived from real-life industrial designs. The book includes significant amounts of analysis on each technique, such as trade-offs between quality-of-results (QoR) and runtime. Finally, analysis of the optimality of the placement techniques is included. This is done by utilizing placement benchmarks with known optimal solutions, yet with characteristics similar to real industrial designs. Modern Circuit Placement: Best Practices and Results is a valuable tool and a must-read for graduate students, researchers and CAD tool developers in the VLSI physical synthesis and physical design fields. 
650 0 |a Engineering. 
650 0 |a Electrical engineering. 
650 0 |a Electronic circuits. 
650 1 4 |a Engineering. 
650 2 4 |a Circuits and Systems. 
650 2 4 |a Electrical Engineering. 
700 1 |a Nam, Gi-Joon.  |e editor. 
700 1 |a Cong, Jason.  |e editor. 
710 2 |a SpringerLink (Online service) 
773 0 |t Springer eBooks 
776 0 8 |i Printed edition:  |z 9780387368375 
830 0 |a Series on Integrated Circuits and Systems,  |x 1558-9412 
856 4 0 |u http://dx.doi.org/10.1007/978-0-387-68739-1  |z Full Text via HEAL-Link 
912 |a ZDB-2-ENG 
950 |a Engineering (Springer-11647)