Analog Circuit Design for Process Variation-Resilient Systems-on-a-Chip

This book describes several techniques to address variation-related design challenges for analog blocks in mixed-signal systems-on-chip. The methods presented are results from recent research works involving receiver front-end circuits, baseband filter linearization, and data conversion. These circu...

Πλήρης περιγραφή

Λεπτομέρειες βιβλιογραφικής εγγραφής
Κύριοι συγγραφείς: Onabajo, Marvin (Συγγραφέας), Silva-Martinez, Jose (Συγγραφέας)
Συγγραφή απο Οργανισμό/Αρχή: SpringerLink (Online service)
Μορφή: Ηλεκτρονική πηγή Ηλ. βιβλίο
Γλώσσα:English
Έκδοση: Boston, MA : Springer US, 2012.
Θέματα:
Διαθέσιμο Online:Full Text via HEAL-Link
LEADER 03205nam a22004815i 4500
001 978-1-4614-2296-9
003 DE-He213
005 20151103141032.0
007 cr nn 008mamaa
008 120307s2012 xxu| s |||| 0|eng d
020 |a 9781461422969  |9 978-1-4614-2296-9 
024 7 |a 10.1007/978-1-4614-2296-9  |2 doi 
040 |d GrThAP 
050 4 |a TK7888.4 
072 7 |a TJFC  |2 bicssc 
072 7 |a TEC008010  |2 bisacsh 
082 0 4 |a 621.3815  |2 23 
100 1 |a Onabajo, Marvin.  |e author. 
245 1 0 |a Analog Circuit Design for Process Variation-Resilient Systems-on-a-Chip  |h [electronic resource] /  |c by Marvin Onabajo, Jose Silva-Martinez. 
264 1 |a Boston, MA :  |b Springer US,  |c 2012. 
300 |a XVIII, 174 p.  |b online resource. 
336 |a text  |b txt  |2 rdacontent 
337 |a computer  |b c  |2 rdamedia 
338 |a online resource  |b cr  |2 rdacarrier 
347 |a text file  |b PDF  |2 rda 
505 0 |a Introduction -- Process Variation Challenges and Solutions Approaches -- High-Linearity Transconductance Amplifiers with Digital Correction Capability -- Multi-Bit Quantizer Design for Continuous-Time Sigma-Delta Modulators with Reduced Device Matching Requirements -- An On-Chip Temperature Sensor for the Measurement of RF Power Dissipation and Thermal Gradients -- Mismatch Reduction for Transitiors in High-Frequency Differential Analog Signal Paths -- Summary and Conclusions. 
520 |a This book describes several techniques to address variation-related design challenges for analog blocks in mixed-signal systems-on-chip. The methods presented are results from recent research works involving receiver front-end circuits, baseband filter linearization, and data conversion. These circuit-level techniques are described, with their relationships to emerging system-level calibration approaches, to tune the performances of analog circuits with digital assistance or control. Coverage also includes a strategy to utilize on-chip temperature sensors to measure the signal power and linearity characteristics of analog/RF circuits, as demonstrated by test chip measurements.    Describes a variety of variation-tolerant analog circuit design examples, including from RF front-ends, high-performance ADCs and baseband filters; Includes built-in testing techniques, linked to current industrial trends; Balances digitally-assisted performance tuning with analog performance tuning and mismatch reduction approaches; Describes theoretical concepts as well as experimental results for test chips designed with variation-aware techniques. 
650 0 |a Engineering. 
650 0 |a Microprocessors. 
650 0 |a Electronics. 
650 0 |a Microelectronics. 
650 0 |a Electronic circuits. 
650 1 4 |a Engineering. 
650 2 4 |a Circuits and Systems. 
650 2 4 |a Electronics and Microelectronics, Instrumentation. 
650 2 4 |a Processor Architectures. 
700 1 |a Silva-Martinez, Jose.  |e author. 
710 2 |a SpringerLink (Online service) 
773 0 |t Springer eBooks 
776 0 8 |i Printed edition:  |z 9781461422952 
856 4 0 |u http://dx.doi.org/10.1007/978-1-4614-2296-9  |z Full Text via HEAL-Link 
912 |a ZDB-2-ENG 
950 |a Engineering (Springer-11647)