Learning from VLSI Design Experience

This book shares with readers practical design knowledge gained from the author's 24 years of IC design experience. The author addresses issues and challenges faced commonly by IC designers, along with solutions and workarounds. Guidelines are described for tackling issues such as clock domain...

Πλήρης περιγραφή

Λεπτομέρειες βιβλιογραφικής εγγραφής
Κύριος συγγραφέας: Lee, Weng Fook (Συγγραφέας, http://id.loc.gov/vocabulary/relators/aut)
Συγγραφή απο Οργανισμό/Αρχή: SpringerLink (Online service)
Μορφή: Ηλεκτρονική πηγή Ηλ. βιβλίο
Γλώσσα:English
Έκδοση: Cham : Springer International Publishing : Imprint: Springer, 2019.
Έκδοση:1st ed. 2019.
Θέματα:
Διαθέσιμο Online:Full Text via HEAL-Link
LEADER 03276nam a2200481 4500
001 978-3-030-03238-8
003 DE-He213
005 20191026221958.0
007 cr nn 008mamaa
008 181214s2019 gw | s |||| 0|eng d
020 |a 9783030032388  |9 978-3-030-03238-8 
024 7 |a 10.1007/978-3-030-03238-8  |2 doi 
040 |d GrThAP 
050 4 |a TK7888.4 
072 7 |a TJFC  |2 bicssc 
072 7 |a TEC008010  |2 bisacsh 
072 7 |a TJFC  |2 thema 
082 0 4 |a 621.3815  |2 23 
100 1 |a Lee, Weng Fook.  |e author.  |4 aut  |4 http://id.loc.gov/vocabulary/relators/aut 
245 1 0 |a Learning from VLSI Design Experience   |h [electronic resource] /  |c by Weng Fook Lee. 
250 |a 1st ed. 2019. 
264 1 |a Cham :  |b Springer International Publishing :  |b Imprint: Springer,  |c 2019. 
300 |a XXIX, 214 p. 141 illus., 55 illus. in color.  |b online resource. 
336 |a text  |b txt  |2 rdacontent 
337 |a computer  |b c  |2 rdamedia 
338 |a online resource  |b cr  |2 rdacarrier 
347 |a text file  |b PDF  |2 rda 
505 0 |a Chapter 1. Introduction -- Chapter 2. Design Methodology and Flow -- Chapter 3. Multiple Clock Design -- Chapter 4. Latch Inference -- Chapter 5. Design for Test -- Chapter 6. Signed Verilog -- Chapter 7. State Machine -- Chapter 8. RTL Coding Guideline -- Chapter 9. Code Coverage. . 
520 |a This book shares with readers practical design knowledge gained from the author's 24 years of IC design experience. The author addresses issues and challenges faced commonly by IC designers, along with solutions and workarounds. Guidelines are described for tackling issues such as clock domain crossing, using lockup latch to cross clock domains during scan shift, implementation of scan chains across power domain, optimization methods to improve timing, how standard cell libraries can aid in synthesis optimization, BKM (best known method) for RTL coding, test compression, memory BIST, usage of signed Verilog for design requiring +ve and -ve calculations, state machine, code coverage and much more. Numerous figures and examples are provided to aid the reader in understanding the issues and their workarounds. Addresses practical design issues and their workarounds; Discusses issues such as CDC, crossing clock domain in shift, scan chains across power domain, timing optimization, standard cell library influence on synthesis, DFT, code coverage, state machine; Provides readers with an RTL coding guideline, based on real experience. 
650 0 |a Electronic circuits. 
650 0 |a Microprocessors. 
650 0 |a Electronics. 
650 0 |a Microelectronics. 
650 1 4 |a Circuits and Systems.  |0 http://scigraph.springernature.com/things/product-market-codes/T24068 
650 2 4 |a Processor Architectures.  |0 http://scigraph.springernature.com/things/product-market-codes/I13014 
650 2 4 |a Electronics and Microelectronics, Instrumentation.  |0 http://scigraph.springernature.com/things/product-market-codes/T24027 
710 2 |a SpringerLink (Online service) 
773 0 |t Springer eBooks 
776 0 8 |i Printed edition:  |z 9783030032371 
776 0 8 |i Printed edition:  |z 9783030032395 
856 4 0 |u https://doi.org/10.1007/978-3-030-03238-8  |z Full Text via HEAL-Link 
912 |a ZDB-2-ENG 
950 |a Engineering (Springer-11647)